BITBCI
数字芯片IC设计的全流程 数字芯片IC设计的全流程
数字芯片IC设计的全流程一、数字芯片与模拟芯片 数字芯片,也叫逻辑芯片,主要处理逻辑运算。这类芯片是为各种实际应用场景的数字化、智能化做的特殊定向设计,也就是所谓的ASIC,Application Specific Integrated C
轮椅蓝牙控制器蓝牙通信接口的破解 轮椅蓝牙控制器蓝牙通信接口的破解
8979a7b0ad7ac6b63f33052086380d8a3dd1dc6155d8f9e005b7d0d59859373fce22d8a4f3ddc0c1ec0bc3aed08ac8e8e3647b6f908e249c7da1b
2022-12-30
Ubuntu系统中如何为设备添加驱动 Ubuntu系统中如何为设备添加驱动
Ubuntu中如何为设备添加驱动一、问题描述在使用Ubuntu的过程中,最常遇见的问题是第三方硬件设备插入电脑却不能使用。大多数情况下,是由于ubuntu系统中不能找到相匹配的驱动,因此导致设备不可用。 Ubuntu系统主要面向开发者,因此
2022-11-07
Ubuntu中如何创建软件桌面快捷方式并固定到快速启动栏 Ubuntu中如何创建软件桌面快捷方式并固定到快速启动栏
Ubuntu中如何创建软件桌面快捷方式并固定到快速启动栏一、问题简述Window的图形界面比较成熟,软件安装之后一般会自动生成桌面图标。Ubuntu系统中软件的安装不同于Window,毕竟这是一个面向开发者的开源系统,所以有时候一些基本配置
2022-11-07
3D建模与打印流程 3D建模与打印流程
3D建模与打印流程一、软件下载3D打印机的型号为Mega 系列 Mega Zero 2.0,官网地址为Mega 系列 Mega Zero 2.0 (anycubic.com) 在官网可以分别下载打印机说明书、打印参数、驱动、切片软件和测试模
2022-11-07
强化学习算法开发工具OpenAI-Gym的使用 强化学习算法开发工具OpenAI-Gym的使用
强化学习算法开发工具OpenAI-Gym的使用一、OpenAI与Gym OpenAI,由诸多硅谷、西雅图科技大亨联合建立的人工智能非营利组织。2015年埃隆·马斯克与其他硅谷、西雅图科技大亨进行连续对话后,决定共同创建OpenAI,希望能够
2022-06-04
强化学习与常用环境 强化学习与常用环境
强化学习与常用环境一、强化学习强化学习(Reinforcement Learning, RL),又称再励学习、评价学习或增强学习,是机器学习的范式和方法论之一,用于描述和解决智能体(agent)在与环境的交互过程中通过学习策略以达成回报最大
2022-05-22
OpenBCI上位机软件中添加子窗口 OpenBCI上位机软件中添加子窗口
OpenBCI上位机软件中添加子窗口一、复制并修改W_template.pde文件及类名二、将widget添加入widgetManager.pde(下拉菜单)三、添加dropdowns控制widgetDropdown1(int n) 全局
2022-05-15
Psychopy的安装与使用 Psychopy的安装与使用
Psychopy的安装与使用一、Psychopy简介Psychopy是基于Python编写的一款心理学实验软件。 可以把Psychopy当成一个Python的包,里面集成了心理学实验当中需要的基本方法。 Psychopy是完全开源,完全免费
2022-05-06
PID控制算法及Python实现 PID控制算法及Python实现
PID控制算法及Python实现1、PID算法简介在控制领域,PID算法是应用最广泛的算法之一。PID,就是“比例(proportional)、积分(integral)、微分(derivative)”,是一种很常见的控制算法。常用于需要将某
2022-05-04
3 / 3